CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm a

搜索资源列表

  1. PWM

    0下载:
  2. PWM原理 2、调制器设计思想 3、具体实现设计 一、 PWM(脉冲宽度调制Pulse Width Modulation)原理: 脉冲宽度调制波通常由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样值成比例。图1所示为脉冲宽度调制系统的原理框图和波形图。该系统有一个比较器和一个周期为Ts的锯齿波发生器组成。语音信号如果大于锯齿波信号,比较器输出正常数A,否则输出0。因此,从图1中可以看出,比较器输出一列下降沿调制的脉冲宽度调制波。 -PWM principle 2
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:60986
    • 提供者:weiwen
  1. pwm

    0下载:
  2. vhdl model for a 3 phase system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2166
    • 提供者:dante
  1. pwm

    0下载:
  2. 整个系统以CPLD为核心逻辑控制器件,配以外围测试及试验电路:显示、时钟信号产生电路、蜂鸣器电路和ByteBlaster的数据变换电路,构成正负脉宽数控调制信号发生器。基于CPLD逻辑控制器件构成的正负脉宽数控调制信号发生器是一个单片系统,整个PWM信号控制所需的各种功能都可由CPLD来实现。-The entire system to the core logic control CPLD devices, together with the external test and the test
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:251240
    • 提供者:唐慧
  1. PWM

    0下载:
  2. it is a program for producing PWM signals to control a motor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:157090
    • 提供者:mohsen
  1. an501_design_example

    0下载:
  2. 在MAX2系列CPLD上实现脉冲宽度调制(PWM),完整的设计成程序和仿真结果。-In the MAX2 series CPLD to realize pulse width modulation (PWM), a complete design and simulation results into the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259188
    • 提供者:王志慧
  1. PWM

    0下载:
  2. 一个用Verilog实现PWM硬件的开发实例 -PWM hardware using Verilog implementation of a development instance
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:23926
    • 提供者:lsh
  1. PWM

    1下载:
  2. 430 PWM波形程序 在430板子上测试成功 能真确运行-430 430 PWM waveforms on the board in the test program can actually run a successful
  3. 所属分类:SCM

    • 发布日期:2017-02-23
    • 文件大小:21504
    • 提供者:hongyuntao
  1. pwm

    0下载:
  2. NIOS上的PWM代码 硬件实现 实现了可自由定义的,自定义相位,自定义死去时间,自定义占空比-NIOS code on the hardware PWM to achieve a free-defined, custom phase, custom die time, the custom duty
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:1247
    • 提供者:Mooch
  1. FPGA-PWM

    0下载:
  2. 用FPGA实现PWM信号生成,目前还是比较热门。也是很好的参考资料-PWM signal generation with the FPGA implementation, is still more popular. Is also a good reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:258570
    • 提供者:于淼
  1. pwm-8

    1下载:
  2. c8051,PCA工作在8位脉宽调制器工作方式,在PWM引脚输出一个占空比为0<-->0xfe的PWM 信号且重复输出,可用示波器观察占空比变化。-c8051, PCA in 8-bit pulse width modulator works, the PWM pin outputs a duty cycle 0 < -> 0xfe the PWM signal and repeat the output duty cycle changes can be an osc
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:955
    • 提供者:李力
  1. PWM

    0下载:
  2. STM32F10 cortex-m3对产生PWM的相关设置操作与应用,对PWM有一定的了解-STM32F10 cortex-m3 of the generated PWM operation and application of the relevant settings, have a certain understanding of PWM
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:3061
    • 提供者:zhoujin
  1. PWM

    0下载:
  2. 本书为一本电力电子与MATLAB结合的应用性书籍,做仿真的人可以参考了-This book is a combination of power electronics applications with MATLAB of books, so people can reference simulation
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:43238
    • 提供者:王小艳
  1. PWM

    0下载:
  2. PWM逆变器全图,共有四组不同参数仿真,包你下载不后悔-PWM inverter full size, a total of four simulations with different parameters, including your download does not regret
  3. 所属分类:matlab

    • 发布日期:2017-04-05
    • 文件大小:7568
    • 提供者:brett
  1. pwm

    0下载:
  2. DSP2812中PWM波的产生典型程序,给需要得 同学-DSP2812 PWM wave generation in a typical procedure, to the need to have students
  3. 所属分类:DSP program

    • 发布日期:2017-03-31
    • 文件大小:258492
    • 提供者:美熊猫
  1. pwm

    0下载:
  2. 这个是一个16F877A的程序,是关于pwm波产生和处理的程序,用pwm波的递增,递减控制相应的控制器-This is a 16F877A program is on the pwm wave generation and handling procedures, with the pwm wave of increasing, decreasing control of the corresponding controller
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:62572
    • 提供者:谢师
  1. pwm

    0下载:
  2. MSP430F149 用TIMER A 输出PWM波形-MSP430F149 output PWM waveform with the TIMER A
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:946
    • 提供者:karen
  1. mc9s12-PWM

    1下载:
  2. 飞思卡尔单片机MC9S12XS128,包含3种pwm电机调速的方法,有测速的详细程序-Freescale MCU MC9S12XS128, contains 3 pwm motor speed control method, and a speed of the detailed procedures
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:17182
    • 提供者:薛军
  1. pwm

    0下载:
  2. 带有死区的、频率可设置的PWM输出源码,实际中已经应用-With a dead zone, the frequency can be set in the PWM output source, have been applied in practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:796
    • 提供者:xuning
  1. arm1138-PWM

    0下载:
  2. arm1138的一个框架程序,可以产生PWM输出,直接驱动蜂鸣器-arm1138 a framework program that can generate PWM output, direct drive buzzer
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:37725
    • 提供者:崔振科
  1. DSP-pwm-

    0下载:
  2. 为了产生一个PWM信号,DSP的定时器定时周期应该和PWM的周期相等。另外需要对DSP的EVA/EVB模块中的比较单元的比较寄存器设定数值,这样该数值一直与定时器的计数器值相比较,按照一定的比较方式,PWM即产生跳变。通过此种方式,DSP的PWM管脚就会产生一个宽度与比较寄存器数值成比例的脉冲信号。在定时器重复定时的过程中就产生了PWM信号。 使用DSP比较单元产生PWM波形不需要硬件连接图,只需对DPS的相关寄存器进行配置就可以在输出端得到相应的PWM波形,其具体操作过程如下:
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:4130
    • 提供者:zhangchao
« 1 2 34 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com